What are you looking for ?
Infinidat
Articles_top

NAND Market Dominated by Samsung (38%), Toshiba and Western Digital (19%+14%), SK Hynix (11%), Micron (11%) and Intel (3%)

According to Yole Développement

This interview below by two executives of Yole Développement was published on November 28, 2018.

The two people interviewed are:

Walt Coon who joins Yole Développement’s memory team as VP of NAND and memory research, part of the semiconductor and software division spent 16 years at Micron Technology, managing the team responsible for competitor benchmarking, and industry supply, demand, and cost modeling. His team also supported both corporate strategy and M&As analysis. Previously, he spent time in information systems, developing engineering applications to support memory process and yield enhancement.

Mike Howard is member of the memory team at Yole Développement as VP of DRAM and memory research. For the decade prior to joining te company, he was the senior director of DRAM and memory research at IHS. Before that, he worked at Micron Technology where he had roles in corporate development, marketing, and engineering.

Memory business: what’s next?

In the last two years, the DRAM and NAND memory business hit record-high revenues. The industry announced an impressive 32% CAGR between 2016 and 2018, with revenue growing from $77 billion to an estimated $177 billion.

Click to enlarge

In this dynamic ecosystem, Yole and its partners – System Plus Consulting and KnowMade, all parts of the Yole Group of Companies – monitor the industry and provide memory services delivering research, data and insight.

Yole: How would you qualify the supply-demand situation today in the DRAM and NAND business? In your opinion, how will it evolve in the future?
Walt Coon and Mike Howard: The supply-demand relationship is very dynamic in both DRAM and NAND businesses. In the last year, the growth of bit demand from mobile and datacenters started to slow down, leading to a slight DRAM oversupply. As several months are needed to adapt the production volumes to fast-changing demand, we expect this oversupply to worsen in the coming quarters before returning to balance in the second half of 2019. In the NAND business, inventory has grown since the first half of the year; moreover, the production of 64-layer 3D NAND chips has accelerated in the second half of 2018, resulting in an oversupply condition. Similar to DRAM, the market is expected to return to balance in the second half of 2019. Overall, the long-term demand trends remain extremely positive for memory – machine learning, AI, IoT, autonomous vehicles, cloud, drones and other novel technology products will all drive significant bit demand, augmenting existing smartphone and PC demand.
 
Regarding pricing, how will the NAND and DRAM market evolve? Do you think prices will be more stable in the coming quarters?
In the DRAM market, prices are expected to decline in 4Q18 and through the first half of 2019 as a consequence of the aforementioned market oversupply. In addition, supply chain inventory levels currently exceed normal values. Such overhang should clear up by the end of Q2-19 at which time we believe price declines will moderate, and possibly increase by the end of 2019. Hence, the second half of 2019, will likely see higher stability in DRAM prices.  
After an unprecedented run of flat-to-increasing pricing – between 0 to +10% – in late 2016 through 2017, NAND pricing has softened dramatically in 2018. Average quarterly NAND prices per unit gigabytes are expected to decline progressively in both 4Q18 and 1Q19, with sequential declines exceeding 10%. The pricing environment is expected to remain soft in Q2-19 due to seasonal demand weakness, before recovering in the second half of the year. At that time, the excess inventory should be out of the system and the capex cuts from late 2018 will start impacting bit supply growth, bringing supply/demand into healthy balance. Prices should be more stable in the second half of 2019: modest price declines are expected with potential upside if the supply growth is managed aggressively.
 
Could you tell who are the main DRAM and NAND players? Have you identified significant M&A activities this year?
The DRAM market is dominated by South Korea with Samsung (43%) and SK Hynix (30%), followed by US with Micron (22%). Notice that Samsung is the undiscussed technological leader with SK Hynix and Micron about 9-15 months behind. After consolidating down to just three large players, it is unlikely that the DRAM market will consolidate further. In fact, no significant M&A activities were recorded in the last year in the DRAM industry. The NAND market is less consolidated than its DRAM counterpart, and is currently dominated by six large players: Samsung (38%), Toshiba and Western Digital (19%+14%), SK Hynix (11%), Micron (11%) and Intel (3%). Further consolidation is improbable in the near-term; moreover, China would likely try to block the merger of any of the existing memory companies, as has already happened in the semiconductor market. The last major acquisition dates back to 2016, when Western Digital acquired SanDisk. Interestingly, in 2018, Toshiba Co. completed $18 billion sale of its memory unit to a consortium led by Bain Capital, which includes Apple, SK Hynix, Dell, and Seagate.
[All market share data reported in this answer refer to projections for the full year 2018.]

What are the markets and related applications that are driving the industry today?
Smartphones continue to be the most important driver of memory demand today. Despite slowing growth of smartphone shipments, memory content per handset continues to increase. Moreover, the datacenter is a large and fast-growing segment of the market, driven by several major trends including mobility, cloud, big data, and IoT. Datacenter includes NAND and DRAM memory demand from hyperscale players as well as traditional enterprise customers. PCs continue to be a large consumer of memory. While the PC market is a flat-to-declining market for DRAM, it continues to be a growth market for NAND, as an increasing percentage of PCs shift from traditional HDDs to SSDs. Recent NAND price declines have accelerated this adoption trend.
 
Are applications such as AI, networking in datacenters and cloud computing part of the ecosystem? Do you think such applications are fueling the growth of memory revenues?
Datacenter is the beating heart for today’s super trends, and encompasses AI and cloud computing. Without the vast computational capabilities made available by datacenters, the dramatic improvements in machine learning we’ve seen in recent years wouldn’t have been possible. Further, the datacenter is the nexus for many of the IoT devices being deployed: without the datacenter the full value of a trillion edge devices won’t be realized. Most importantly, in the last decade memory has become the veritable bottleneck in the datacenter, e.g. in terms of performance, thereby making it a ‘must have’ and not only a ‘nice to have’. At Yole, we think, this is a very positive development for memory, with datacenter driving significant demand growth for both DRAM and NAND.
 
Are there still significant challenges to overcome in these memory fields?  
Several challenges still remain. From a technological perspective, it continues to get more and more difficult to grow bit output on the wafer, which is a key for driving down cost per bit for both DRAM and NAND. The former is constrained by lithography shrinks, while the latter is constrained by limits on 3D stacking and wafer throughput losses as wafer processing time has increased due to the transition from planar (2D) to 3D NAND. A few technical solutions will enable further advancements in the coming years, including string stacking – i.e. stacking individual 3D NAND devices on top of each other with an interconnect – and the relocation of the logic part from the periphery of the die to underneath (or on top of) the memory structure (ex. Micron’s CUA technique). From a market perspective, despite consolidation (particularly for DRAM), it remains challenging for the suppliers to balance production output appropriately to meet demand (and to avoid prolonged periods of oversupply).
 
Let’s focus on China, who wills to increase its role in the memory industry. Could you provide some examples of projects/actions that confirm the growing presence of China in this sector?
In the NAND business, the Chinese company Yangtze Memory Technologies, announced in August 2016, is the most likely entrant in the near-term. YMTC is developing in-house 3D NAND technology and has constructed a large shell fab in Wuhan, China. It recruited former Micron Taiwan (Inotera) executive Charles Kau to run operations. It has produced working 32-layer 3D NAND samples and is now focusing on the development of 64-layer solutions before entering the market with high volumes. With significant financial backing from government investment funds and a head start on R&D and manufacturing, YMTC is the most likely to succeed out of all the announced Chinese memory players. However, there are still many hurdles to overcome before this venture can be considered a success. For DRAM, China is still in the technology-development phase. DRAM manufacturing is incredibly difficult and it will likely take a while longer for China to achieve competitive parity with the rest of the industry.
 
What will be the effect of China’s entrance into the memory business? How will this impact the global memory market?
Eventually, China’s memory endeavors will lead to shrinking market shares for all current players. While it is not a certainty, it is also very likely that China’s entrance into the memory markets will lead to oversupply and thereby declining revenues. The impact to the memory markets will depend largely on how China enters the market. If Chinese vendors ramp production output quickly and aggressively, then it could lead to several years of oversupply and rapidly declining revenues. If they enter the market slowly and methodically, then the market could remain relatively balanced and prices and revenue could actually increase. New entrants from China have the potential to disrupt the memory markets, but we believe the impact from this threat is several years out. Significant output from Chinese vendors could reach the market in 2020 at the earliest for NAND – likely later for DRAM.

Memory investments, especially for NAND and DRAM, strongly supported the equipment supply over the last year. How do you foresee the evolution of investments in the coming years?
Memory capital costs are higher today than in in the past. DRAM and NAND will attract more than $40 billion in capital expenditure (capex) this year. In 2019, capex will likely decrease by 15~20% as suppliers react to softening market conditions for both memory technologies. In the long-term, we expect that capital intensity will increase, driving elevated capex in the years to come. Due to increasingly challenging bit-density scaling, more wafers will be needed to meet the future bit demand; moreover, the equipment needed to make memory is getting more and more sophisticated and expensive. If and when the markets get oversupplied, memory makers can simply reduce capex (like Samsung and SK Hynix have announced in recent weeks). This will have a very quick impact on supply and bring markets back into balance. This is especially true for DRAM.
 
What is the status of the development of the memory fabs, especially in Taiwan?
The only development activity in Taiwan is around DRAM. Nanya and Winbond have expansion plans, and Micron could very likely build a new DRAM fab there in the near future (Micron makes much of its DRAM in Taiwan, where it owns two large fabs). On the NAND side, there is currently very little development in Taiwan.
 
Is there anything else you would like to share?
In general, the memory industry is very different today from what it was just five years ago. We don’t expect to see the same boom and bust cycles that we’ve seen in the past (which was really a typical DRAM phenomenon). The market will continue to experience volatility, but not to the extent that we’ve seen in prior cycles. This is largely due to consolidation, as well as to the propensity of suppliers to focus more on increasing profits rather than growing market share. There has been evidence for this recently, with several suppliers reacting to market softness by reducing capex and slowing technology transitions. These reactions occurred much earlier in the cycle than in previous downturns (with both DRAM and NAND industries very profitable at the time the cuts were announced).

Read also:
NAND Prices to Fall 15% in 2018 – Yole Développement
While shipments expected to increase 45%
2018.06.26 | Press Release

Articles_bottom
AIC
ATTO
OPEN-E